Welcome![Sign In][Sign Up]
Location:
Search - vhdl dpll

Search list

[Other resourceVHDLDPLL

Description: 比较好的技术文章《基于VHDL的全数字锁相环的设计》有关键部分的源代码。-relatively good technical article, "based on VHDL DPLL the design" a key part of the source code.
Platform: | Size: 168107 | Author: 李湘鲁 | Hits:

[Other resource200761311574149479

Description: 介绍了如何使用数字锁相环,如何用VHDL实现数字锁相环-on how to use the DPLL, how to use VHDL DPLL
Platform: | Size: 63234 | Author: zhaojia | Hits:

[VHDL-FPGA-Verilogpll

Description: 用VHDL写的数字锁相环程序 pll.vhd为源文件 pllTB.vhd为testbench-pll.vhd : PLL written in VHDL hardware language. pllTB.vhd is a test program for pll.vhd.
Platform: | Size: 111616 | Author: 孙犁 | Hits:

[VHDL-FPGA-Verilogfdpll

Description: 简单的可配置dpll的VHDL代码。 用于时钟恢复后的相位抖动的滤波有很好的效果, 而且可以参数化配置pll的级数。-simple configurable dpll VHDL code. Clock Recovery for the jitter filtering is a very good result, but can pll configuration parameters of the series.
Platform: | Size: 2048 | Author: 陈德炜 | Hits:

[Software Engineering010919.pdf

Description: 全数字锁相环VHDL描述并实现功能仿真,另附有图形说明-DPLL VHDL description and achieve functional simulation, followed by graphic shows
Platform: | Size: 286720 | Author: 巢海步 | Hits:

[VHDL-FPGA-VerilogVHDLDPLL

Description: 比较好的技术文章《基于VHDL的全数字锁相环的设计》有关键部分的源代码。-relatively good technical article, "based on VHDL DPLL the design" a key part of the source code.
Platform: | Size: 167936 | Author: 李湘鲁 | Hits:

[VHDL-FPGA-Verilogdpll0226

Description: 用一片CPLD实现数字锁相环,用VHDL或V语言.-with a DPLL CPLD, VHDL or V language.
Platform: | Size: 184320 | Author: sss | Hits:

[VHDL-FPGA-VerilogDPLL0227+V+qt6

Description: 用一片CPLD实现数字锁相环,用VHDL或V语言.-with a DPLL CPLD, VHDL or V language.
Platform: | Size: 278528 | Author: sss | Hits:

[Bookschangyongmokuai

Description: 智能全数字锁相环的设计用VHDL语言在CPLD上实现串行通信-DPLL intelligent design using VHDL on the CPLD Serial Communication
Platform: | Size: 793600 | Author: 1 | Hits:

[Software Engineering200761311574149479

Description: 介绍了如何使用数字锁相环,如何用VHDL实现数字锁相环-on how to use the DPLL, how to use VHDL DPLL
Platform: | Size: 62464 | Author: zhaojia | Hits:

[VHDL-FPGA-Verilogdpll

Description: FPGA实现全数字锁相环,利用硬件描述评议verilog HDL,顶层文件DPLL.V-FPGA realization of all-digital phase-locked loop, using hardware description Convocation verilog HDL, the top-level document DPLL. V
Platform: | Size: 4096 | Author: YP | Hits:

[VHDL-FPGA-VerilogFPGA-DPLL

Description: 基于FPGA实现的一种新型数字锁相环-FPGA-based realization of a new type of digital phase-locked loop
Platform: | Size: 181248 | Author: lixu | Hits:

[OtherDPLL

Description: 一种可编程的全数字锁相环的丝线,可以用来做一个小的课程设计-A programmable DPLL thread can be used to do a small course design
Platform: | Size: 140288 | Author: 国家 | Hits:

[VHDL-FPGA-VerilogDPLL(VHDL)

Description: 使用VHDL语言进行的数字锁相环的设计,里面有相关的文件,可以使用MUX+PLUS打开-The use of VHDL language of digital phase-locked loop design, there are relevant documents, you can use MUX+ PLUS Open
Platform: | Size: 13312 | Author: 国家 | Hits:

[VHDL-FPGA-Verilogdpll

Description: dpll的verilog代码,完成数字锁相。用于时钟对准,位同步。-dpll the verilog code to complete the digital phase-locked. Alignment for the clock, bit synchronization.
Platform: | Size: 1024 | Author: hsj | Hits:

[OtherDPLL

Description: pll 的数字实现大家 支持 第一次 传-pll digital impliment
Platform: | Size: 49152 | Author: zhangfuquan | Hits:

[Software EngineeringDPLL

Description: 基于VHDL语言的DPLL电路的设计,给出了设计方案和部分源代码 -DPLL
Platform: | Size: 193536 | Author: zhao peng | Hits:

[VHDL-FPGA-VerilogDPLL

Description: 数字锁相环频率合成器的vhdl实现的源代码-Digital PLL Frequency Synthesizer vhdl source code to achieve
Platform: | Size: 539648 | Author: sunnyhp | Hits:

[VHDL-FPGA-VerilogAD-PLL

Description: 基于VHDL的全数字锁相环的设计与实现,quartusII的仿真程序。-DPLL based on VHDL Design and Implementation, quartusII the simulation program.
Platform: | Size: 2048 | Author: yzn8625 | Hits:

[VHDL-FPGA-VerilogFPGA-based-design-of-DPLL

Description: 采用VHDL设计的全数字锁相环电路设计,步骤以及一些详细过程介绍。-VHDL design using all-digital PLL circuit design, detailed process steps and some introduction.
Platform: | Size: 416768 | Author: 阿啊 | Hits:
« 12 3 »

CodeBus www.codebus.net